CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 课程设计

搜索资源列表

  1. autosale

    1下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulation
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3506
    • 提供者:张傻
  1. trafficlight

    0下载:
  2. VHDL编写的交通灯程序,有倒计时功能,数字电路课程设计,内附状态图和dofile波形模拟!-VHDL prepared by the traffic lights procedures, the countdown function, digital circuit design courses, enclosing a state map and dofile waveform simulation!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4302
    • 提供者:张傻
  1. washing

    1下载:
  2. 洗衣机控制器 做课程设计的同学可以下了看看 用vhdl语言做的 -washing machine controller design courses so students can see where the use of the VHDL language
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1766
    • 提供者:李莫普
  1. dzzh

    0下载:
  2. eda课程设计:数字钟--vhdl语言全部源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:王伯燕
  1. HXRJTD

    0下载:
  2. 这是本人在Max plus2环境下用VHDL语言编的交通灯控制程序。做EDA课程设计的朋友可以下来参考参考。-This is my Max plus2 environment with VHDL addendum to the traffic lights control procedures. EDA design courses so friends from the reference reference.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:755448
    • 提供者:
  1. 20040810319xiyijiVHDL

    0下载:
  2. 自己 写的课程设计 ,用vhdl写的模拟洗衣机,希望对大家有帮助-himself wrote the curriculum design, simulation vhdl wrote washing machines, we hope to help
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1318604
    • 提供者:伍杰
  1. maning

    0下载:
  2. 课程设计基于VHDL数字电路的分析与设计
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:112756
    • 提供者:滕莹
  1. yaoxin

    0下载:
  2. PSK调制解调器的设计 我的课程设计 基于VHDL语言的设计与仿真
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:65641
    • 提供者:滕莹
  1. FPGA

    1下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:490205
    • 提供者:滕莹
  1. duogongnengdianzishuzizhong

    0下载:
  2. 多功能电子数字钟vhdl 计算机专业课程设计必备
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:43462
    • 提供者:李久鑫
  1. SAP-1Cpu

    0下载:
  2. EDA的课程设计,自己写到PPT,大致讲了用VHDL语言实现简易CPU的设计,有源码
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:278607
    • 提供者:gaoliang
  1. aab

    1下载:
  2. 假前做了电子课程设计,感触颇深。老师安排一个题目,在一周时间里拿出成果。我们从熟悉quartus软件,到自学vhdl语言,再到设计程序,
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:934
    • 提供者:倪伟
  1. VHDLdesign

    2下载:
  2. EDA课程设计,包含源码和文档说明,实现秒表计数和闹钟功能,使用VHDL语言编写 已完成功能 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。有静音模式
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18382
    • 提供者:liuxin
  1. EDA_LOCK_ALL

    2下载:
  2. 我EDA课程设计做的用VHDL 写的智能电子密码锁,在试验箱上实验的,4位并行密码,有报警功能与自锁功能。花了我1个星期的时间,希望对你有帮助。有什么问题可以来EMAIL问我哦。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1006101
    • 提供者:zw9882
  1. whb4to1QDVHDL1

    0下载:
  2. whb4选1抢答器VHDL设计1,比较简单实用,我得课程设计初稿
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4940
    • 提供者:www
  1. 61EDA_D478

    0下载:
  2. 自己在课程设计上做的一个采用VHDL实现的DDS程序(Using VHDL implementation of the DDS program, their curriculum design to do a VHDL implementation of the DDS program)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:4504576
    • 提供者:alohaha
  1. EDA课程设计

    0下载:
  2. 数据采集()
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:36700160
    • 提供者:玉立
  1. 基于CPLD的万年历的设计

    0下载:
  2. vhdl编的一个万年历,比较详细具体,学生做的一个课程设计(Calendar written by VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-30
    • 文件大小:838656
    • 提供者:帅哥1111
  1. EDAVHDL实验指导书

    0下载:
  2. EDAVHDL实验指导书, 《EDA(VHDL)课程设计》指导书详解 - EDA(VHDL)课程设计,指导书 。
  3. 所属分类:VHDL编程

  1. EDA

    1下载:
  2. 本设计是在Quartus ii开发环境下采用VHDL语言实现的AMI/HDB3编码器课程设计。(This design is a course design of AMI / HDB3 encoder implemented by VHDL language in the development environment of Quartus II.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-31
    • 文件大小:1916928
    • 提供者:Z Yu
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com